SMD150 Computer Architecture Fundamentals of Computer Design

Download Report

Transcript SMD150 Computer Architecture Fundamentals of Computer Design

SMD150 Computer Architecture
Fundamentals of Computer Design
Per Lindgren EISLAB, lectures
Andrey Kruglyak, SyncSim
Johan Eriksson, VHDL
Outline
•
•
•
•
Computer Science at a Crossroads
Computer Architecture vs. Instruction Set Arch.
SMD150 Administration
What Computer Architecture brings to table
2
Crossroads: Conventional Wisdom in Comp. Arch
• Old Conventional Wisdom: Power is free, Transistors expensive
• New Conventional Wisdom: “Power wall” Power expensive, Xtors free
(Can put more on chip than can afford to turn on)
• Old CW: Sufficiently increasing Instruction Level Parallelism via
compilers, innovation (Out-of-order, speculation, VLIW, …)
• New CW: “ILP wall” law of diminishing returns on more HW for ILP
• Old CW: Multiplies are slow, Memory access is fast
• New CW: “Memory wall” Memory slow, multiplies fast
(200 clock cycles to DRAM memory, 4 clocks for multiply)
• Old CW: Uniprocessor performance 2X / 1.5 yrs
• New CW: Power Wall + ILP Wall + Memory Wall = Brick Wall
– Uniprocessor performance now 2X / 5(?) yrs
 Sea change in chip design: multiple “cores”
(2X processors per chip / ~ 2 years)
» More simpler processors are more power efficient
3
Crossroads: Uniprocessor Performance
10000
Performance (vs. VAX-11/780)
From Hennessy and Patterson, Computer
Architecture: A Quantitative Approach, 4th
edition, October, 2006
??%/year
1000
52%/year
100
10
25%/year
1
1978 1980 1982 1984 1986 1988 1990 1992 1994 1996 1998 2000 2002 2004 2006
• VAX
: 25%/year 1978 to 1986
• RISC + x86: 52%/year 1986 to 2002
• RISC + x86: ??%/year 2002 to present
4
Sea Change in Chip Design
• Intel 4004 (1971): 4-bit processor,
2312 transistors, 0.4 MHz,
10 micron PMOS, 11 mm2 chip
• RISC II (1983): 32-bit, 5 stage
pipeline, 40,760 transistors, 3 MHz,
3 micron NMOS, 60 mm2 chip
• 125 mm2 chip, 0.065 micron CMOS
= 2312 RISC II+FPU+Icache+Dcache
– RISC II shrinks to ~ 0.02 mm2 at 65 nm
– Caches via DRAM or 1 transistor SRAM (www.t-ram.com) ?
– Proximity Communication via capacitive coupling at > 1 TB/s ?
(Ivan Sutherland @ Sun / Berkeley)
• Processor is the new transistor?
5
Déjà vu all over again?
• Multiprocessors imminent in 1970s, ‘80s, ‘90s, …
• “… today’s processors … are nearing an impasse as
technologies approach the speed of light..”
David Mitchell, The Transputer: The Time Is Now (1989)
• Transputer was premature
 Custom multiprocessors strove to lead uniprocessors
 Procrastination rewarded: 2X seq. perf. / 1.5 years
• “We are dedicating all of our future product development to
multicore designs. … This is a sea change in computing”
Paul Otellini, President, Intel (2004)
• Difference is all microprocessor companies switch to
multiprocessors (AMD, Intel, IBM, Sun; all new Apples 2 CPUs)
 Procrastination penalized: 2X sequential perf. / 5 yrs
 Biggest programming challenge: 1 to 2 CPUs
6
Problems with Sea Change
•
Algorithms, Programming Languages, Compilers,
Operating Systems, Architectures, Libraries, … not
ready to supply Thread Level Parallelism or Data
Level Parallelism for 1000 CPUs / chip,
Architectures not ready for 1000 CPUs / chip
•
•
•
Unlike Instruction Level Parallelism, cannot be solved by just by
computer architects and compiler writers alone, but also cannot
be solved without participation of computer architects
This edition of “4th Edition of textbook Computer
Architecture: A Quantitative Approach” explores
shift from Instruction Level Parallelism to Thread
Level Parallelism / Data Level Parallelism
7
Outline
•
•
•
•
•
Computer Science at a Crossroads
Computer Architecture vs. Instruction Set Arch.
SMD150 Administration
What Computer Architecture brings to table
Technology Trends
8
Instruction Set Architecture: Critical Interface
software
instruction set
hardware
• Properties of a good abstraction
–
–
–
–
Lasts through many generations (portability)
Used in many different ways (generality)
Provides convenient functionality to higher levels
Permits an efficient implementation at lower levels
9
Example: MIPS
r0
r1
°
°
°
r31
PC
lo
hi
0
Programmable storage
Data types ?
2^32 x bytes
Format ?
31 x 32-bit GPRs (R0=0)
Addressing Modes?
32 x 32-bit FP regs (paired DP)
HI, LO, PC
Arithmetic logical
Add, AddU, Sub, SubU, And, Or, Xor, Nor, SLT, SLTU,
AddI, AddIU, SLTI, SLTIU, AndI, OrI, XorI, LUI
SLL, SRL, SRA, SLLV, SRLV, SRAV
Memory Access
LB, LBU, LH, LHU, LW, LWL,LWR
SB, SH, SW, SWL, SWR
Control
32-bit instructions on word boundary
J, JAL, JR, JALR
BEq, BNE, BLEZ,BGTZ,BLTZ,BGEZ,BLTZAL,BGEZAL
10
Instruction Set Architecture
“... the attributes of a [computing] system as seen by
the programmer, i.e. the conceptual structure and
functional behavior, as distinct from the organization
of the data flows and controls the logic design, and
the physical implementation.”
– Amdahl, Blaauw, and Brooks, 1964
SOFTWARE
-- Organization of Programmable
Storage
-- Data Types & Data Structures:
Encodings & Representations
-- Instruction Formats
-- Instruction (or Operation Code) Set
-- Modes of Addressing and Accessing Data Items and Instructions
-- Exceptional Conditions
11
ISA vs. Computer Architecture
• Old definition of computer architecture
= instruction set design
– Other aspects of computer design called implementation
– Insinuates implementation is uninteresting or less challenging
• Our view is computer architecture >> ISA
• Architect’s job much more than instruction set
design; technical hurdles today more challenging
than those in instruction set design
• Since instruction set design not where action is,
some conclude computer architecture (using old
definition) is not where action is
– We disagree on conclusion
– Agree that ISA not where action is (ISA in CA:AQA 4/e appendix)
12
Comp. Arch. is an Integrated Approach
• What really matters is the functioning of the complete
system
– hardware, runtime system, compiler, operating system, and
application
– In networking, this is called the “End to End argument”
• Computer architecture is not just about transistors,
individual instructions, or particular implementations
– E.g., Original RISC projects replaced complex instructions with a
compiler + simple instructions
13
Computer Architecture is
Design and Analysis
De s ign
Architecture is an iterative process:
• Searching the space of possible designs
• At all levels of computer systems
Analys is
Creativity
Cost /
Performance
Analysis
Good Ideas
Bad Ideas
Mediocre Ideas
14
Outline
•
•
•
•
•
Computer Science at a Crossroads
Computer Architecture vs. Instruction Set Arch.
SMD150 Administration
What Computer Architecture brings to table
Technology Trends
15
SMD150: Administrivia
•Per Lindgren, A2304, [email protected]
–Main instructor/lecturer
•Andrey Kruglyak, [email protected]
–SyncSim support
•Johan Eriksson, A2304, [email protected]
–VHDL support
•Guest lectures
–Johan Nordlander, A2306, [email protected], Timber
–Lennart Gustafsson, A2213, [email protected], Neural networks
–Pär Hellström, A3442, (Mathematics), [email protected] , Clusters
–TBD…
Text: Computer Architecture: A Quantitative Approach, 4th Edition
Web page: http://www.sm.luth.se/csee/courses/smd/150/
16
SMD150 Course Focus
Understanding the design techniques, machine structures,
technology factors, evaluation methods that will
determine the form of computers in 21st Century
Technology
Applications
Parallelism
Programming
Languages
Computer Architecture:
• Organization
• Hardware/Software Boundary
Operating
Systems
Measurement &
Evaluation
Interface Design
(ISA)
Compilers
History
17
SMD150
• Means for learning
– Scheduled 16 Lectures (each 2*45 minutes)
– 3 labs, in groups of two
» SyncSim, tutorial
» MIPS review
» Design of your own super-scalar MIPS
– Research/white papers on modern architectures
» 2*10 minute presentations, in groups of two
» Written paper review, individual
– Written exam
18
Related Courses
HW support to
the OS/kernel
Real-Time
Systems
COLD
Basic knowledge of the
organization of a computer
is assumed!
Compiler
Technology
SMD150
Languages and
compilers should
exploit HW
VLSI
Implementation
methodology for ICs
19
Background
• We assume SMD137 (COLD) or similar
– RISC Architecture
– Pipelining
– Memory hierarchy
» Cache
» Virtual memory with TLB
• VHDL
– You will use VHDL in the labs, and
YES, we know, you might be new to this;
» Plenty of material and examples on the web
» Johan E will give VHDL support
» SMD150 is at “advanced level”, you manage!
20
Research in Computer Architecture
• FPGAs as New Research Platform
• As ~ 25 CPUs can fit in Field Programmable
Gate Array (FPGA), 1000-CPU system from
~ 40 FPGAs?
• 64-bit simple “soft core” RISC at 100MHz in 2004 (Virtex-II)
• FPGA generations every 1.5 yrs; 2X CPUs, 2X clock rate
• HW research community does logic design
(“gate shareware”) to create out-of-the-box,
Massively Parallel Processor runs standard
binaries of OS, apps
– Gateware: Processors, Caches, Coherency, Ethernet
Interfaces, Switches, Routers, … (IBM, Sun have donated
processors)
– E.g., 1000 processor, IBM Power binary-compatible, cachecoherent supercomputer @ 200 MHz; fast enough for research
21
RAMP
• Since goal is to ramp up research in
multiprocessing, called Research
Accelerator for Multiple Processors
– To learn more, read “RAMP: Research
Accelerator for Multiple Processors - A
Community Vision for a Shared Experimental
Parallel HW/SW Platform,” Technical Report
UCB//CSD-05-1412, Sept 2005
– Web page ramp.eecs.berkeley.edu
22
Why RAMP Good for Research?
SMP
Cluster
F ($40M)
C ($2M)
A+ ($0M)
A ($0.1M)
Cost of ownership
A
D
A
A
Scalability
C
A
A
A
D (120 kw, 12
D (120 kw,
A+ (.1 kw,
A (1.5 kw,
racks)
12 racks)
0.1 racks)
0.3 racks)
Community
D
A
A
A
Observability
D
C
A+
A+
Reproducibility
B
D
A+
A+
Flexibility
D
C
A+
A+
Credibility
A+
A+
F
A
A (2 GHz)
A (3 GHz)
F (0 GHz)
C (0.2 GHz)
C
B-
B
A-
Cost (1000 CPUs)
Power/Space
(kilowatts, racks)
Perform. (clock)
GPA
Simulate
RAMP
23
RAMP 1 Hardware
• Completed Dec. 2004 (14x17 inch 22-layer PCB)
• Module:
– FPGAs, memory,
10GigE conn.
– Compact Flash
– Administration/
maintenance
ports:
» 10/100 Enet
» HDMI/DVI
» USB
– ~4K/module w/o
FPGAs or DRAM

Called “BEE2” for Berkeley Emulation Engine 2
24
Multiple Module RAMP 1 Systems
• 8 compute modules (plus power
supplies) in 8U rack mount chassis
– 500-1000 emulated processors
• Many topologies possible
• 2U single module tray for developers
• Disk storage: disk emulator + Network
Attached Storage
25
Vision: Multiprocessing Watering Hole
RAMP
Parallel file system Dataflow language/computer Data center in a box
Thread scheduling Security enhancements Internet in a box
Multiprocessor switch design
Router design Compile to FPGA
Fault insertion to check dependability Parallel languages
• RAMP attracts many communities to shared artifact
 Cross-disciplinary interactions
 Accelerate innovation in multiprocessing
• RAMP as next Standard Research Platform?
(e.g., VAX/BSD Unix in 1980s, x86/Linux in 1990s)
26
Supporters (wrote letters to NSF) & Participants
•
•
•
•
•
•
•
•
•
•
Gordon Bell (Microsoft)
Ivo Bolsens (Xilinx CTO)
Norm Jouppi (HP Labs)
Bill Kramer (NERSC/LBL)
Craig Mundie (MS CTO)
G. Papadopoulos (Sun CTO)
Justin Rattner (Intel CTO)
Ivan Sutherland (Sun Fellow)
Chuck Thacker (Microsoft)
Kees Vissers (Xilinx)
•
•
•
•
•
•
•
•
•
Doug Burger (Texas)
Bill Dally (Stanford)
Carl Ebeling (Washington)
Susan Eggers (Washington)
Steve Keckler (Texas)
Greg Morrisett (Harvard)
Scott Shenker (Berkeley)
Ion Stoica (Berkeley)
Kathy Yelick (Berkeley)
RAMP Participants: Arvind
(MIT), Krste Asanovíc (MIT),
Derek Chiou (Texas), James Hoe (CMU), Christos Kozyrakis
(Stanford), Shih-Lien Lu (Intel), Mark Oskin (Washington), David
Patterson (Berkeley), Jan Rabaey (Berkeley), and John Wawrzynek
(Berkeley)
27
RAMP Summary
• RAMP as system-level time machine: preview computers
of future to accelerate HW/SW generations
–
–
–
–
Trace anything, Reproduce everything, Tape out every day
FTP new supercomputer overnight and boot in morning
Clone to check results (as fast in Berkeley as in Boston?)
Emulate Massive Multiprocessor, Data Center, or Distributed Computer
• Carpe Diem
– Systems researchers (HW & SW) need the capability
– FPGA technology is ready today, and getting better every year
– Stand on shoulders vs. toes: standardize on multi-year Berkeley effort
on FPGA platform Berkeley Emulation Engine 2 (BEE2)
– Architecture researchers get opportunity to immediately aid
colleagues via gateware (as SW researchers have done in past)
– See ramp.eecs.berkeley.edu
• Vision “Multiprocessor Research Watering Hole” accelerate
research in multiprocessing via standard research platform
 hasten sea change from sequential to parallel computing
28
SMD150
• This year you will build your own super-scalar
MIPS compatible core in VHDL
• If you have time, look into multiple register files,
to support hyper-threading
• You compete for the fastest implementation.
Lowest number of clock cycles, for lab1 (more on
that later)
• Next year, built on BEST solution, we might go
for a multi-core
• Year after that, why not a true multi-CPU system
29
Paper reading
• In groups of 2, you will read and present papers
(2) at the research (conferences/journals) and
product (white papers/data sheets) frontiers, e.g.
–
–
–
–
–
–
Graphics accelerators
Physical modeling accelerators (differential equations)
Cell processor
Niagara, new 8 core, 4 threads per core chip from Sun
Propeller, new embedded multi-core system
Etc.
30
Outline
•
•
•
•
Computer Science at a Crossroads
Computer Architecture vs. Instruction Set Arch.
SMD150 Administration
What Computer Architecture brings to table
31
What Computer Architecture brings to Table
•
•
Other fields often borrow ideas from architecture
Quantitative Principles of Design
1.
2.
3.
4.
5.
•
Careful, quantitative comparisons
–
–
–
–
•
•
Take Advantage of Parallelism
Principle of Locality
Focus on the Common Case
Amdahl’s Law
The Processor Performance Equation
Define, quantity, and summarize relative performance
Define and quantity relative cost
Define and quantity dependability
Define and quantity power
Culture of anticipating and exploiting advances in
technology
Culture of well-defined interfaces that are carefully
implemented and thoroughly checked
32
1) Taking Advantage of Parallelism
• Increasing throughput of server computer via
multiple processors or multiple disks
• Detailed HW design
– Carry lookahead adders uses parallelism to speed up computing
sums from linear to logarithmic in number of bits per operand
– Multiple memory banks searched in parallel in set-associative
caches
• Pipelining: overlap instruction execution to reduce
the total time to complete an instruction sequence.
– Not every instruction depends on immediate predecessor 
executing instructions completely/partially in parallel possible
– Classic 5-stage pipeline:
1) Instruction Fetch (Ifetch),
2) Register Read (Reg),
3) Execute (ALU),
4) Data Memory Access (Dmem),
5) Register Write (Reg)
33
Pipelined Instruction Execution
Time (clock cycles)
Reg
DMem
Ifetch
Reg
DMem
Reg
ALU
DMem
Reg
ALU
O
r
d
e
r
Ifetch
ALU
I
n
s
t
r.
ALU
Cycle 1 Cycle 2 Cycle 3 Cycle 4 Cycle 5 Cycle 6 Cycle 7
Ifetch
Ifetch
Reg
Reg
Reg
DMem
Reg
34
Limits to pipelining
• Hazards prevent next instruction from executing
during its designated clock cycle
Reg
DMem
Ifetch
Reg
Ifetch
Reg
ALU
Ifetch
Reg
Reg
DMem
Reg
DMem
ALU
O
r
d
e
r
Ifetch
ALU
I
n
s
t
r.
ALU
– Structural hazards: attempt to use the same hardware to do
two different things at once
– Data hazards: Instruction depends on result of prior
instruction still in the pipeline
– Control hazards: Caused by delay between the fetching of
instructions and decisions about changes in control flow
(branches and jumps).
Time (clock cycles)
Reg
DMem
Reg
35
2) The Principle of Locality
• The Principle of Locality:
– Program access a relatively small portion of the address space at
any instant of time.
• Two Different Types of Locality:
– Temporal Locality (Locality in Time): If an item is referenced, it will
tend to be referenced again soon (e.g., loops, reuse)
– Spatial Locality (Locality in Space): If an item is referenced, items
whose addresses are close by tend to be referenced soon
(e.g., straight-line code, array access)
• Last 30 years, HW relied on locality for memory perf.
P
$
MEM
36
Levels of the Memory Hierarchy
Capacity
Access Time
Cost
CPU Registers
100s Bytes
300 – 500 ps (0.3-0.5 ns)
L1 and L2 Cache
10s-100s K Bytes
~1 ns - ~10 ns
$1000s/ GByte
Staging
Xfer Unit
Registers
Instr. Operands
L1 Cache
Blocks
Disk
10s T Bytes, 10 ms
(10,000,000 ns)
~ $1 / GByte
Tape
infinite
sec-min
~$1 / GByte
prog./compiler
1-8 bytes
faster
cache cntl
32-64 bytes
L2 Cache
Blocks
Main Memory
G Bytes
80ns- 200ns
~ $100/ GByte
Upper Level
cache cntl
64-128 bytes
Memory
Pages
OS
4K-8K bytes
Files
user/operator
Mbytes
Disk
Tape
Larger
Lower Level
37
3) Focus on the Common Case
• Common sense guides computer design
– Since its engineering, common sense is valuable
• In making a design trade-off, favor the frequent
case over the infrequent case
– E.g., Instruction fetch and decode unit used more frequently
than multiplier, so optimize it 1st
– E.g., If database server has 50 disks / processor, storage
dependability dominates system dependability, so optimize it 1st
• Frequent case is often simpler and can be done
faster than the infrequent case
– E.g., overflow is rare when adding 2 numbers, so improve
performance by optimizing more common case of no overflow
– May slow down overflow, but overall performance improved by
optimizing for the normal case
• What is frequent case and how much performance
improved by making case faster => Amdahl’s Law
38
4) Amdahl’s Law

Fractionenhanced 
ExTimenew  ExTimeold  1  Fractionenhanced  

Speedup

enhanced 
Speedupoverall 
ExTimeold

ExTimenew
1
1  Fractionenhanced  
Fractionenhanced
Speedupenhanced
Best you could ever hope to do:
Speedupmaximum
1

1 - Fractionenhanced 
39
Amdahl’s Law example
• New CPU 10X faster
• I/O bound server, so 60% time waiting for I/O
Speedup overall 
1
Fractionenhanced
1  Fractionenhanced  
Speedup enhanced
1
1


 1.56
0.4 0.64
1  0.4 
10
• Apparently, its human nature to be attracted by 10X
faster, vs. keeping in perspective its just 1.6X faster
40
CPI
5) Processor performance equation
inst count
CPU time
= Seconds
= Instructions x
Program
Program
CPI
Program
Compiler
X
(X)
Inst. Set.
X
X
Technology
x Seconds
Instruction
Inst Count
X
Organization
Cycles
X
Cycle time
Cycle
Clock Rate
X
X
41
What’s a Clock Cycle?
Latch
or
register
combinational
logic
• Old days: 10 levels of gates
• Today: determined by numerous time-of-flight
issues + gate delays
– clock propagation, wire lengths, drivers
42
And in conclusion …
• Computer Architecture >> instruction sets
• Computer Architecture skill sets are different
–
–
–
–
5 Quantitative principles of design
Quantitative approach to design
Solid interfaces that really work
Technology tracking and anticipation
• SMD150 to learn new skills, transition to research
• Computer Science at the crossroads from
sequential to parallel computing
– Salvation requires innovation in many fields, including
computer architecture
• SyncSim is your tool towards a deep
understanding of computer architecture
43