Transcript Document

CS 7960-4
Lecture 15
Pipeline Gating: Speculation Control For
Energy Reduction
S. Manne, A. Klauser, D. Grunwald
Proceedings of ISCA-25
June 1998
Power/Energy Basics
• Energy = Power x time
• Power = a C V2 f
a
C
V
f
switching activity factor
capacitances being charged
voltage swing
processor frequency
• Current trends: f and C are rising, V is dropping,
overall power is increasing
• Leakage energy is also increasing
Power Saving Strategies
• Dynamic frequency reduction – trivially reduces
power, worsens performance, no effect on energy
• Delay of a circuit a 1/(V – Vt) ; lower frequency
tolerates longer delays, hence, can reduce
voltage  quadratic effect on energy
• When a processor structure is not used in a cycle,
gate off its clock for that cycle
• Leakage energy can be reduced by gating off
supply voltage V during periods of inactivity
Processor Breakdowns
Alpha 21264
Caches
O-o-o Issue Logic
Mem management unit
FP unit
Integer unit
Clock power
Pentium Pro
16%
19%
9%
11%
11%
34%
Cost of Speculation
Mispredict rates 
9.9 12.2 23.9 10.4 6.9 4.6 11.3 1.7
Pipeline Gating
• Low confidence branches throttle instr fetch till they are resolved
• Pipeline gating usually lasts for fewer than five cycles
Metrics
• SPEC (specificity): fraction of all mispredicted
branches detected as low-confidence by the
confidence estimator (coverage)
• PVN (predictive value of a negative test): probability
of a low-confidence branch being incorrectly
branch-predicted (accuracy)
Confidence Estimators
• Perfect: to gauge potential benefits
• Static: branches that have low prediction rates
• JRS: if a branch has yielded N successive correct
predictions, it has high confidence
• Saturating counters: unbiased counter value or
disagreement in two predictors  low confidence
• Distance: mpreds are clustered, hence the first 4
branches after a mispredict have low confidence
SPEC and PVN
SPEC (coverage): mispred branches detected by low-confidence estimator
PVN (accuracy): % of low-confidence branches that are branch mpreds
• It is easier to achieve a high SPEC value than PVN
• A high PVN value can be achieved by using N low-confidence branches
to invoke gating – if PVN is 30%, re-defining low-confidence as two
low-confidence branches increases PVN to 51%
Perfect
Gating Results
Results
• Can gating improve performance? – only if cache
pollution is significant
• Less than 1% performance loss and up to 38%
reduction in extra work
• Energy consumption could go up – some work is
independent of number of executed instrs (clock
distribution) – incr. execution time can incr. Energy
• Pipeline gating should reduce power consumption
Title
Overall Improvement
• Total energy in each stage has gone down by
8%, 6%, 1%, and 1%, respectively, while lowering
performance by only 1%
• More detailed energy modeling could yield
different results
Next Week’s Paper
• “Power and Performance Evaluation of Globally
Asynchronous Locally Synchronous Processors”,
A. Iyer, D. Marculescu, Proceedings of ISCA-29,
May 2002
Title
• Bullet