Transcript Document

Clock Design
Adopted from David Harris of Harvey Mudd
College
Outline





Clock Distribution
Clock Skew
Skew-Tolerant Static Circuits
Traditional Domino Circuits
Skew-Tolerant Domino Circuits
2
Clocking
 Synchronous systems use a clock to keep
operations in sequence
– Distinguish this from previous or next
– Determine speed at which machine operates
 Clock must be distributed to all the sequencing
elements
– Flip-flops and latches
 Also distribute clock to other elements
– Domino circuits and memories
3
Clock Distribution
 On a small chip, the clock distribution network is just
a wire
– And possibly an inverter
 On practical chips, the RC delay of the wire
resistance and gate load is very long
– Variations in this delay cause clock to get to
different elements at different times
– This is called clock skew
 Most chips use repeaters to buffer the clock and
equalize the delay
– Reduces but doesn’t eliminate skew
4
Example
 Skew comes from differences in gate and wire delay
– With right buffer sizing, clk1 and clk2 could ideally
arrive at the same time.
– But power supply noise changes buffer delays
– clk2 and clk3 will always see RC skew
gclk
3 mm
clk1
1.3 pF
3.1 mm
clk2
0.4 pF
0.5 mm
clk3
0.4 pF
5
Review: Skew Impact
F1
Q1
Combinational Logic
D2
Tc
clk
tpcq
Q1
tskew
tpdq
tsetup
D2
clk
t pd  Tc   t pcq  tsetup  tskew 
Q1
CL
clk
D2
tcd  thold  tccq  tskew
F2
sequencing overhead
clk
F2
clk
F1
 Ideally full cycle is
available for work
 Skew adds sequencing
overhead
 Increases hold time too
tskew
clk
thold
Q1 tccq
D2
tcd
6
Cycle Time Trends
 Much of CPU performance comes from higher f
– f is improving faster than simple process shrinks
– Sequencing overhead is bigger part of cycle
1000
100
MHz
SpecInt95
10
1
80386
80486
Pentium
Pentium II / III
0.1
1988
1991
1994
80386
80486
Pentium
Pentium II / III
1997
10
1985
2000
1988
1991
1994
1997
2000
100
500
VDD = 3.3
VDD = 5
FO4 inverter delays / cycle
Fanout-of-4 (FO4) Inverter Delay (ps)
0.01
1985
100
VDD = 2.5
200
100
50
2.0
1.2
0.8
0.6
0.35
0.25
50
80386
80486
Pentium
Pentium II / III
20
10
1985
1988
1991
1994
1997
2000
Process
7
Solutions
 Reduce clock skew
– Careful clock distribution network design
– Plenty of metal wiring resources
 Analyze clock skew
– Only budget actual, not worst case skews
– Local vs. global skew budgets
 Tolerate clock skew
– Choose circuit structures insensitive to skew
 Post-fabrication adjustment
– Intel, IBM, etc
 GALS (Global Synchronous Locally Asynchronous)
8
Clock Dist. Networks




Ad hoc
Grids
H-tree
Hybrid
9
Clock Grids




Use grid on two or more levels to carry clock
Make wires wide to reduce RC delay
Ensures low skew between nearby points
But possibly large skew across die
10
Alpha Clock Grids
Alpha 21064
Alpha 21164
Alpha 21264
PLL
gclk grid
Alpha 21064
gclk grid
Alpha 21164
Alpha 21264
11
H-Trees
 Symmetric structure
– Gets clock arbitrarily close to any point
– Matched delay along all paths
 Delay variations cause skew
A
 A and B might see big skew
B
12
Itanium 2 H-Tree
 Four levels of buffering:
– Primary driver
– Repeater
– Second-level
clock buffer
– Gater
 Route around
obstructions
Repeaters
Typical SLCB
Locations
Primary Buffer
13
Hybrid Networks
 Use H-tree to distribute clock to many points
 Tie these points together with a grid
 Ex: IBM Power4, PowerPC
– H-tree drives 16-64 sector buffers
– Buffers drive total of 1024 points
– All points shorted together with grid
14
Skew Tolerance
 Flip-flops are sensitive to skew because of hard edges
– Data launches at latest rising edge of clock
– Must setup before earliest next rising edge of clock
– Overhead would shrink if we can soften edge
 Latches tolerate moderate amounts of skew
– Data can arrive anytime latch is transparent
15
Skew: Latches
Q1
Combinational
Logic 1
D2
1
Q2
Combinational
Logic 2
D3
Q3
pdq
sequencing overhead
tcd 1 , tcd 2  thold  tccq  tnonoverlap  tskew
tborrow 
2
L3
 2t 
D1
L1
t pd  Tc 
1
L2
2-Phase Latches
1
2
Tc
  tsetup  tnonoverlap  tskew 
2
Pulsed Latches
t pd  Tc  max  t pdq , t pcq  tsetup  t pw  tskew 
sequencing overhead
tcd  thold  t pw  tccq  tskew
tborrow  t pw   tsetup  tskew 
16
Post Fab Adjustment
 Build test circuits and programmable capacitors on
the die
 Test skew after fabrication
 Program the capacitors to de-skew
17
GALS
 Since clock/data signal delay across chip is 5-10
cycles, it is impossible to maintain a synchronous
clock
 GALS
– Globally asynchronous, using protocols to
communicate
– Locally synchronous, just like we used to do
 Research???
18
Dynamic Circuit Review
 Static circuits are slow because fat pMOS load input
 Dynamic gates use precharge to remove pMOS
transistors from the inputs
– Precharge:  = 0 output forced high
– Evaluate:
 = 1 output may pull low
A
B

C
D
A
B
Y
C
A
Y
B
C
D
D
19
Domino Circuits
 Dynamic inputs must monotonically rise during
evaluation
– Place inverting stage between each dynamic gate
– Dynamic / static pair called domino gate
 Domino gates can be safely cascaded
domino AND
W
X
A
B

dynamic static
NAND inverter
20
Domino Timing
 Domino gates are 1.5 – 2x faster than static CMOS
– Lower logical effort because of reduced Cin
 Challenge is to keep precharge off critical path
 Look at clocking schemes for precharge and eval
– Traditional schemes have severe overhead
– Skew-tolerant domino hides this overhead
21
Traditional Domino Ckts
 Hide precharge time by ping-ponging between halfcycles
– One evaluates while other precharges
– Latches hold results during precharge
Tc
clk
clk
tpdq
Latch
Dynamic
clk clk
Static
Dynamic
clk
Static
Dynamic
clk
Static
Dynamic
Latch
Dynamic
clk clk clk
Static
Dynamic
clk
Static
Dynamic
clk
Static
clk
Dynamic
t pd  Tc  2t pdq
tpdq
22
Clock Skew
 Skew increases sequencing overhead
– Traditional domino has hard edges
– Evaluate at latest rising edge
– Setup at latch by earliest falling edge
clk
Latch
Dynamic
clk clk
Static
Dynamic
Dynamic
clk
Static
clk
Latch
clk clk
Dynamic
Static
Dynamic
clk
Static
clk
Dynamic
t pd  Tc  2tsetup  2tskew
clk
tsetup tskew
23
Time Borrowing
 Logic may not exactly fit half-cycle
– No flexibility to borrow time to balance logic
between half cycles
 Traditional domino sequencing overhead is about
25% of cycle time in fast systems!
clk
Latch
clk
Static
clk
Dynamic
clk
Static
clk
Dynamic
Static
Dynamic
clk
Static
Dynamic
clk
Latch
clk
tsetup tskew
24
Relaxing the Timing
 Sequencing overhead caused by hard edges
– Data departs dynamic gate on late rising edge
– Must setup at latch on early falling edge
 Latch functions
– Prevent glitches on inputs of domino gates
– Holds results during precharge
 Is the latch really necessary?
– No glitches if inputs come from other domino
– Can we hold the results in another way?
25
Skew-Tolerant Domino
 Use overlapping clocks to eliminate latches at phase
boundaries.
– Second phase evaluates using results of first
No latch at
phase boundary
b
c
1
1
2
2
a
a
b
b
c
c
Static
a
Dynamic
2
Static
Dynamic
1
d
26
Full Keeper
 After second phase evaluates, first phase precharges
 Input to second phase falls
– Violates monotonicity?
 But we no longer need the value
 Now the second gate has a floating output
– Need full keeper to hold it either high or low

H
X
f
weak full
keeper
transistors
27
Time Borrowing
 Overlap can be used to
– Tolerate clock skew
– Permit time borrowing
 No sequencing overhead
toverlap
tborrow tskew
1
Phase 1
Static
Dynamic
2
Static
Dynamic
2
Static
Dynamic
2
Static
Dynamic
1
Static
Dynamic
1
Static
Dynamic
1
Static
Dynamic
1
Static
1
Dynamic
t pd  Tc
2
Phase 2
28
Multiple Phases
 With more clock phases, each phase overlaps more
– Permits more skew tolerance and time borrowing
1
2
3
4
Phase 1
Phase 2
Phase 3
Static
Dynamic
4
Static
Dynamic
4
Static
Dynamic
3
Static
Dynamic
3
Static
Dynamic
2
Static
Dynamic
2
Static
Dynamic
1
Static
Dynamic
1
Phase 4
29
Clock Generation
en clk
1
2
3
4
30
Summary
 Clock skew effectively increases setup and hold
times in systems with hard edges
 Managing skew
– Reduce: good clock distribution network
– Analyze: local vs. global skew
– Tolerate: use systems with soft edges
 Flip-flops and traditional domino are costly
 Latches and skew-tolerant domino perform at full
speed even with moderate clock skews.
31