Lectures for 2nd Edition

Download Report

Transcript Lectures for 2nd Edition

Chapter 3
Arithmetic for
Computers
Arithmetic

Where we've been:


Abstractions: Instruction Set Architecture
Assembly Language and Machine Language
What's up ahead:

operation
Implementing the Architecture
a
32
ALU
result
32
b
32
Some Questions





How are negative numbers represented?
What are the largest number that can be
represented in a computer word?
What happens if an operation creates a
number bigger than can be represented?
What about fractions and real numbers?
Ultimately, how does hardware really add,
subtract, multiply or divide number?
Numbers



Bits are just bits (no inherent meaning)
— conventions define relationship between bits and numbers
Binary numbers (base 2)
0000 0001 0010 0011 0100 0101 0110 0111 1000 1001...
decimal: 0...2n-1
Of course it gets more complicated:




numbers are finite (overflow)
fractions and real numbers
negative numbers
e.g., no MIPS subi instruction; addi can add a negative number)
How do we represent negative numbers?
i.e., which bit patterns will represent which numbers?
Possible Representations




Sign Magnitude:
One's Complement
Two's Complement
000 = +0
000 = +0
000 = +0
001 = +1
001 = +1
001 = +1
010 = +2
010 = +2
010 = +2
011 = +3
011 = +3
011 = +3
100 = -0
100 = -3
100 = -4
101 = -1
101 = -2
101 = -3
110 = -2
110 = -1
110 = -2
111 = -3
111 = -0
111 = -1
Issues: balance, number of zeros, ease of operations
Which one is best? Why?
ASCII vs. binary numbers (p162 example)
MIPS

32 bit signed numbers:
0000
0000
0000
...
0111
0111
1000
1000
1000
...
1111
1111
1111

0000 0000 0000 0000 0000 0000 0000two = 0ten
0000 0000 0000 0000 0000 0000 0001two = + 1ten
0000 0000 0000 0000 0000 0000 0010two = + 2ten
1111
1111
0000
0000
0000
1111
1111
0000
0000
0000
1111
1111
0000
0000
0000
1111
1111
0000
0000
0000
1111
1111
0000
0000
0000
1111
1111
0000
0000
0000
1110two
1111two
0000two
0001two
0010two
=
=
=
=
=
+
+
–
–
–
2,147,483,646ten
2,147,483,647ten
2,147,483,648ten
2,147,483,647ten
2,147,483,646ten
1111 1111 1111 1111 1111 1111 1101two = – 3ten
1111 1111 1111 1111 1111 1111 1110two = – 2ten
1111 1111 1111 1111 1111 1111 1111two = – 1ten
Binary to decimal conversion:
1111 1111 1111 1111 1111 1111 1111 1100 two
maxint
minint
Signed versus unsigned
numbers


It matters when doing comparison
MIPS offers two versions of the set on less
than comparison


slt, slti work with signed integers
sltu, sltiu work with unsigned integers
Two's Complement Operations


Negating a two's complement number: invert all bits and add
1
 remember: “negate” and “invert” are quite different!
 See example on page 167. (2, -2)
Converting n bit numbers into numbers with more than n bits:
 MIPS 16 bit immediate gets converted to 32 bits for
arithmetic
 copy the most significant bit (the sign bit) into the other bits
0010 -> 0000 0010
1010 -> 1111 1010
"sign extension" (lbu vs. lb)
Binary-to-Hexadecimal conversion


Addition & Subtraction


Just like in grade school (carry/borrow 1s)
0111
0111
+ 0110
- 0110
Two's complement operations easy

subtraction using addition of negative numbers
0111
+ 1010
0110
- 0101
Overflow

Overflow: result too large for finite computer
word:

e.g., adding two n-bit numbers does not yield an
n-bit number
0111
+ 0001
1000
Detecting Overflow



No overflow when adding a positive and a negative
number
No overflow when signs are the same for subtraction
Overflow occurs when the value affects the sign:





overflow when adding two positives yields a negative
or, adding two negatives gives a positive
or, subtract a negative from a positive and get a negative
or, subtract a positive from a negative and get a positive
Consider the operations A + B, and A – B


Can overflow occur if B is 0 ?
Can overflow occur if A is 0 ?
Detecting Overflow (cont’d)
Operation
Operand A
Operand B
Result
A+B
>=0
>=0
<0
A+B
<0
<0
>=0
A-B
>=0
<0
<0
A-B
<0
>=0
>=0
Effects of Overflow

An exception (interrupt) occurs



Details based on software system / language


Control jumps to predefined address for exception
Interrupted address is saved for possible resumption
example: flight control vs. homework assignment
Don't always want to detect overflow
— new MIPS instructions: addu, addiu, subu
note: addiu still sign-extends!
note: sltu, sltiu for unsigned comparisons
Logical Operations


Shifts: move all the bits in a word to the left or right, filling
the emptied bits with 0s.
sll: shift left logical; srl :shift right logical
Example: sll $t2, $s0, 8
0



0
16
shamt: shift amount
and, andi
or, ori
10
8
0
Review: Boolean Algebra &
Gates (Appendix B)

Problem: Consider a logic function with three
inputs: A, B, and C.






Output D is true if at least one input is true
Output E is true if exactly two inputs are true
Output F is true only if all three inputs are true
Show the truth table for these three functions.
Show the Boolean equations for these three
functions.
Show an implementation consisting of
inverters, AND, and OR gates.
An ALU (arithmetic logic unit)

Let's build an ALU to support the andi
and ori instructions

we'll just build a 1 bit ALU, and use 32 of
them
op a b res
operation
a
result
b

Possible Implementation (sum-ofproducts):
Review: The Multiplexor

Selects one of the inputs to be the output,
based on a control input
S
A
B
0
C
note: we call this a 2-input mux
even though it has 3 inputs!
1
A
C  ( A  S )  (B  S )
C
B
S
Different Implementations

Not easy to decide the “best” way to build something




Don't want too many inputs to a single gate
Don’t want to have to go through too many gates
for our purposes, ease of comprehension is important
Let's look at a 1-bit ALU for addition:
CarryIn
cout = a b + a cin + b cin
sum = a xor b xor cin
a
Sum
b
CarryOut
ALU

How could we build a 1-bit ALU for add, and,
and or?

How could we build a 32-bit ALU?
Adder Hardware for the
CarryOut Signal
cout = a b + a cin + b cin
CarryIn
a
b
CarryOut
How about the Sum bit?

Leave as an exercise.
Building a 32 bit ALU
CarryIn
a0
b0
Operation
Operation
CarryIn
ALU0
Result0
CarryOut
CarryIn
a1
a
b1
0
1
CarryIn
ALU1
Result1
CarryOut
Result
a2
b2
CarryIn
ALU2
Result2
CarryOut
2
b
CarryOut
a31
b31
CarryIn
ALU31
Result31
What about subtraction (a – b)

Two's complement approach: just negate b
and add.
How do we negate?
Binvert

Operation
CarryIn
a
0
1

A very clever solution:
b
0
2
1
CarryOut
Result
Tailoring the ALU to the MIPS

Need to support the set-on-less-than
instruction (slt)




remember: slt is an arithmetic instruction
produces a 1 if rs < rt and 0 otherwise
use subtraction: (a-b) < 0 implies a < b
Need to support test for equality (beq $t5,
$t6, $t7)

use subtraction: (a-b) = 0 implies a = b
Supporting slt
Binvert
Operation
CarryIn
a
0
Can we figure out the
idea?
 (TOP) A 1-bit ALU that
performs AND, OR, and
addition on a and b
or !b
 (BOTTOM) A 1-bit ALU for
the most significant bit.

1
Result
b
0
2
1
Less
3
a.
CarryOut
Binvert
Operation
CarryIn
a
0
1
Result
b
0
2
1
Less
3
Set
Overflow
detection
b.
Overflow
32 Bit ALU
Binvert
CarryIn
a0
b0
CarryIn
ALU0
Less
CarryOut
a1
b1
0
CarryIn
ALU1
Less
CarryOut
a2
b2
0
CarryIn
ALU2
Less
CarryOut
Operation
Result0
Result1
Result2
CarryIn
a31
b31
0
CarryIn
ALU31
Less
Result31
Set
Overflow
Test for equality

Notice control lines:
000
001
010
110
111
=
=
=
=
=
and
or
add
subtract
slt
•Note: zero is a 1 when the result is zero!
Bnegate
Operation
a0
b0
CarryIn
ALU0
Less
CarryOut
Result0
a1
b1
0
CarryIn
ALU1
Less
CarryOut
Result1
a2
b2
0
CarryIn
ALU2
Less
CarryOut
Result2
a31
b31
0
CarryIn
ALU31
Less
Zero
Result31
Set
Overflow
Conclusion

We can build an ALU to support the MIPS instruction set




key idea: use multiplexor to select the output we want
we can efficiently perform subtraction using two’s complement
we can replicate a 1-bit ALU to produce a 32-bit ALU
Important points about hardware



all of the gates are always working
the speed of a gate is affected by the number of inputs to the
gate
the speed of a circuit is affected by the number of gates in series
(on the “critical path” or the “deepest level of logic”)
Hardware Design

Our primary focus: comprehension, however,


Clever changes to organization can improve
performance (similar to using better algorithms in
software)
We’ll look at two examples for addition and
multiplication
Problem: ripple carry adder is
slow
Is a 32-bit ALU as fast as a 1-bit ALU?
 Is there more than one way to do addition?
 two extremes: ripple carry and sum-of-products
Can you see the ripple? How could you get rid of it?

c1
c2
c3
c4
=
=
=
=
b0c0
b1c1
b2c2
b3c3
+
+
+
+
a0c0
a1c1
a2c2
a3c3
Not feasible! Why?
+
+
+
+
a0 b0
a1b1c2 =
a2 b2
c3 =
a3 b3
c4 =
Carry-lookahead adder

An approach in-between our two extremes

Motivation:


If we didn't know the value of carry-in, what could we do?

When would we always generate a carry?

When would we propagate the carry?
Did we get rid of the ripple?
c1
c2
c3
c4
=
=
=
=
g0
g1
g2
g3
+
+
+
+
p0c0
p1c1
p2c2
p3c3
Feasible! Why?
c2 =
c3 =
c4 =
gi = ai bi
pi = ai + bi
Plumbing Analogy for Carry
Lookahead
Next-level Carry Lookahead
Analogy
Use principle to build bigger
adders
CarryIn
a0
b0
a1
b1
a2
b2
a3
b3
CarryIn
Result0--3
ALU0
P0
G0
pi
gi
Carry-lookahead unit
C1
a4
b4
a5
b5
a6
b6
a7
b7
a8
b8
a9
b9
a10
b10
a11
b11
a12
b12
a13
b13
a14
b14
a15
b15

ci + 1
CarryIn
Result4--7
ALU1
P1
G1

pi + 1
gi + 1
C2
ci + 2
CarryIn
Result8--11
ALU2
P2
G2
pi + 2
gi + 2
C3
ci + 3
CarryIn
Result12--15
ALU3
P3
G3
pi + 3
gi + 3
C4
CarryOut
ci + 4

Can’t build a 16 bit adder this
way... (too big)
Could use ripple carry of 4-bit
CLA adders
Better: use the CLA principle
again!
Example

Determine gi,pi,Pi and Gi for these two 16-bit
numbers:
a: 0001 1010 0011 0011
b: 1110 0101 1110 1011
Also, what is CarryOut15(or C4)?
Speed of Ripple Carry vs.
Carry Lookahead


Take 16-bit adder as an example
Ripple carry:
the carry out signal takes two gate delays (Fig B5.5)
16x2 =32

Carry Lookahead
C4 is the carry out bit of the msb, which takes two levels of logic to
specify in terms of Pi and Gi
Pi is specified in one level of logic using pi,
Gi is specified in two levels of login using pi,gi,
pi, gi are each one level of logic
2 + 2 + 1 = 5 gate delays

The Carry Lookahead method is 6.4 times faster than the
ripple carry approach.